[Resource Topic] 2016/1093: Energy Optimization of Unrolled Block Ciphers using Combinational Checkpointing

Welcome to the resource topic for 2016/1093

Title:
Energy Optimization of Unrolled Block Ciphers using Combinational Checkpointing

Authors: Siva Nishok Dhanuskodi, Daniel Holcomb

Abstract:

Energy consumption of block ciphers is critical in resource constrained devices. Unrolling has been explored in literature as a technique to increase efficiency by eliminating energy spent in loop control elements such as registers and multiplexers. However these savings are minimal and are offset by the increase in glitching power that comes with unrolling. We propose an efficient latch-based glitch filter for unrolled designs that reduces energy per encryption by an order of magnitude over a straightforward implementation, and by 28-32% over the best existing glitch filtering schemes. We explore the optimal number of glitch filters that should be used in order to minimize total energy, and provide estimates of the area cost. Partially unrolled designs also benefit from using our scheme with energies competitive to fully serialized implementations. We demonstrate our approach on the SIMON-128 and AES-256 block ciphers.

ePrint: https://eprint.iacr.org/2016/1093

See all topics related to this paper.

Feel free to post resources that are related to this paper below.

Example resources include: implementations, explanation materials, talks, slides, links to previous discussions on other websites.

For more information, see the rules for Resource Topics .