[Resource Topic] 2013/439: Pushing the Limits of SHA-3 Hardware Implementations to Fit on RFID

Welcome to the resource topic for 2013/439

Title:
Pushing the Limits of SHA-3 Hardware Implementations to Fit on RFID

Authors: Peter Pessl, Michael Hutter

Abstract:

There exists a broad range of RFID protocols in literature that propose hash functions as cryptographic primitives. Since Keccak has been selected as the winner of the NIST SHA-3 competition in 2012, there is the question of how far we can push the limits of Keccak to fulfill the stringent requirements of passive low-cost RFID. In this paper, we address this question by presenting a hardware implementation of Keccak that aims for lowest power and lowest area. Our smallest (full-state) design requires only 2,927 GEs (for designs with external memory available) and 5,522 GEs (total size including memory). It has a power consumption of $12.5,\mu$W at 1,MHz on a low leakage 130,nm CMOS process technology. As a result, we provide a design that needs 40,% less resources than related work. Our design is even smaller than the smallest SHA-1 and SHA-2 implementations.

ePrint: https://eprint.iacr.org/2013/439

See all topics related to this paper.

Feel free to post resources that are related to this paper below.

Example resources include: implementations, explanation materials, talks, slides, links to previous discussions on other websites.

For more information, see the rules for Resource Topics .